top of page

2022

  • Mirine Leem, Deokjoon Eom, Heesoo Lee, Kwangwuk Park, Kwangsik Jeong and Hyoungsub Kim

      "Characterization of ferroelectric HZO film on MoS2"

      Korean International Semiconductor Conference on Manufacturing Technology, Busan, Korea

  • Jehoon Lee, Deokjoon Eom, Woohui Lee, Joohee Oh, Changyu Park and Hyoungsub Kim

      "Interface characteristics of ferroelectric Hf1−xZrxO2 on Si with an Al2O3 interface layer"

      Workshop on Innovative Nanoscale Devices and Systems, Hawaii, USA

  • Woohui Lee, Jehoon Lee, Deokjoon Eom, Joohee Oh, Changyu Park, Jinyong Kim, Hyungchul Shin and Hyoungsub Kim

       "Electrical characteristics of HfO2/Y2O3 on p-Si1-xGex with various Ge concentrations"

       Workshop on Innovative Nanoscale Devices and Systems, Hawaii, USA

  • Heesoo Lee, Hoijoon Kim, Kihyun Kim, Geunyoung Yeom and Hyoungsub Kim

      "BCl3 plasma treatment of Mos2 for atomic-layer-deposition of High-k dielectrics"

      Workshop on Innovative Nanoscale Devices and Systems, Hawaii, USA

2021

  • Woohui Lee, Joohee Oh, Jae Hwan Chu and Hyoungsub Kim

      "Determination of interface state density distribution across entire band gap of low-temperature polysilicon thin film"

      8th International Congress on Ceramics (ICC8)

  • Hyunwoo Park and Hyoungsub Kim

      "A study on breakdown failure of gate dielectric based on interstitial oxygen analysis"

      Nano Korea 2021 (The 19th International Nanotech Symposium & Exhibition), Seoul, Korea

2019

  • Hyangsook Lee, and Hyoungsub Kim

      "TEM observation of dynamic structural change in synthetic MoS2 under electron beam irradiation"

      20th International Conference on the Science and Application of Nanotubes and Low-Dimensional Materials (NT19), Würzburg,

      Germany

  • Mirine Leem, Hyangsook Lee, Wonsik Ahn, Taejin Park, Hoijoon Kim, and Hyoungsub Kim

     "Chemical interaction of sapphire substrate with HfCl4 during chemical vapor deposition of HfS2"

      20th International Conference on the Science and Application of Nanotubes and Low-Dimensional Materials (NT19), Würzburg,

      Germany

  • Changmin Lee, Sungho Choi, Youngseo An, Woohui Lee, Wan Oh, Deokjoon Eom, Jehoon LeeHyoungsub Kim

      "Dual-temperature Atomic Layer Deposition of HfO2/Al2O3 on In0.53Ga0.47As"

       AVS 66th International Symposium, Columbus, USA 

  • Woohui Lee, Changmin Lee, Jinyong Kim, Jehoon Lee, Deokjoon Eom, Jaechan Park, Daehyun Kim, Taejoo Park, and Hyoungsub Kim

      "Electrical Properties of HfO2 Formed on the p-type Si1-xGex Pretreated with H2S Annealing"

      The Workshop on Innovative Nanoscale Devices and Systems (WINDS), Hawaii, USA

  • Hoijoon Kim, Taejin Park, Mirine Leem, Hyangsook Lee, Wonsik Ahn, Eunha Lee, and Hyoungsub Kim

      "Synthesis of MoS2 via Sulfurization of a MoO2-evaporated Film"

      The Workshop on Innovative Nanoscale Devices and Systems (WINDS), Hawaii, USA

  • Wonsik Ahn, Hangsook Lee, Yeonchoo Cho, Hoijoon Kim, Mirine Leem, Heesoo Lee, Kyung-Eun Byun, Hyeon-Jin Shin, and Hyongsub Kim

      "Substrate-dependent Morphological Change of MoS2 During Atomic Layer Deposition"

       The Workshop on Innovative Nanoscale Devices and Systems (WINDS), Hawaii, USA

2018

2017

  • 오완, 이우희, 안영서, 최성호, 이창민, 위성권, 김형섭

     "산화제 및 증착 온도에 따른 Cp-Zr(NMe2)3 기반 원자층 증착 ZrO2 박막의 특성분석"

      한국반도체디스플레이기술학회 (2018 춘계학술대회), 한국기계연구원, 대전

  • Hoijoon Kim, Taejin Park, Seongjae Park, Mirine Leem, Wonsik Ahn, Seong-Jun Jeong, Seongjun Park, Yunseok Kim, and Hyoungsub Kim

     "Top-gated MoS2 field-effect transistor with ultra-thin HfO2 gate dielectric formed by Hf-seeded atomic layer deposition"

      The 7th International Conference on Microelectronics and Plasma Technology (ICMAP 2018), Incheon, Korea

  • Seungheum Choi, Jinyoung Kim, Jinbum Kim and Hyoungsub Kim

       "High pressure H2 and D2 Annealing Effects on the Interface Characteristics between HfO2 and Si0.7Ge0.3"

       2018 MRS Fall Meeting & Exhibit, Boston, USA

  • Wonsik Ahn, Taejin Park, Hyangsook Lee, Hoijoon Kim, Mirine Leem and Hyoungsub Kim

      "Low-temperature Synthesis of Crystallized Molybdenum Disulfide Using Atomic Layer Deposition"

       2018 MRS Fall Meeting & Exhibit, Boston, USA

  • Wan Oh, Woohui Lee, S. Choi, Youngseo An, Changmin Lee, S. Wi and Hyoungsub Kim

      "Characteristics of ZrO2 Films Atomic-Layer-Deposited Using Cp-Zr(NMe2)3: Effects of Oxidant and Deposition Temperature"

       Pacific Rim Symposium on Surfaces, Coatings & Interfaces (PacSurf 2018), Hawaii, USA

  • Taejin Park, Mirine Leem, Hoijoon Kim, Wonsik Ahn and Hyoungsub Kim

       "Synthesis of cariously shaped MoS2 catalyst for enhanced water splitting"

       Catalysis and Fine Chemicals 2018 (C&FC 2018), Bangkok, Thailand

  • Jinbum Kim, Hochul Nam, Jinyong Kim, Seongheum Choi, Changdeuck Bae, Hyunjung Shin and Hyoungsub Kim

      "Nano-patterned Ni1-xPtxSi as an anode application for lithium ion batteries "

       Catalysis and Fine Chemicals 2018 (C&FC 2018)​, Bangkok, Thailand

  • 최성호, 송정근, 안영서, 이창민, 김형섭

     "다양한 ALD 증착 온도에 따른 In0.53Ga0.47As 위에서의 HfO2/Al2O3의 전기적 특성"

      한국반도체디스플레이기술학회 (2017 춘계학술대회), 경북대학교

  • 황병일, 김민하, 조성민, Sefan Becker, 김영훈, 김형섭

     "아크릴 계열 고분자/실리케이트 나노입자 복합체를 이용한 고신뢰성 은나노선 투명전극 연구"

      2017 한국재료학회 춘계학술대회, 목포현대호텔

  • J.-Y.Kim, S.-H Choi, J. Kim, H.Lee, C.-W.Yang, and H.Kim

     "Formation of Erbium Silicide on an Epitaxially-Grown Strained Si:P Layer"

      European-Materials Research Society (E-MRS Spring Meeting 2017), Strasbourg, France

  • Hoijoon Kim, Taejin Park, Seongjae Park, Mirine Leem, Wonsik Ahn, SeongJun Jeong, Seongjun Park, Yunseok Kim, and Hyoungsub Kim

     "Atomic layer dedposition of HfO2 on MoS2 with an Hf seed layer"

      European-Materials Research Society (E-MRS Spring Meeting 2017), Strasbourg, France

  • 안영서, 최성호, 이창민, 김형섭

       "NH3 annealing에 따른 ALD-HfO2/GaSb의 전기적 특성 및 계면 특성 분석"

       한국반도체디스플레이기술학회 (2017 추계학술대회), 성균관대학교

  • 최성흠, 김진용, 김진범, 김형섭

      "Ultra-thin body Si channel에서의 high-k 유전막 특성 평가"

       한국반도체디스플레이기술학회 (2017 추계학술대회), 성균관대학교

  • Jinbum Kim*, Hyangsook Lee*, J. Kim, I. Shin, S. Choi, T. Park, Y. Kim, E. Lee, and H. Kim

     "Texture Analysis of Ni1-xPtxSi Film Synthesized Using High-Temperature Laser Annealing"

      5th Nano Today Conference, Hawaii, USA

  • Taejin Park*, Mirine Leem, Hyangsook Lee, Wonsik Ahn, Hoijoon Kim, Jinbum Kim, Eunha Lee, Yong-Hoon Kim, and Hyoungsub Kim

     "Control of Sulfur-induced Reduction for Synthesis of Various MoS2 Nanostructures"

      7th Advanced Functional Materals and Devices (AFMD 2017), Havana, Cuba

2016

  • 최성호,송정근, 안영서, 이창민, 방현준, 최리노, 김형섭
    "고압 수소 열처리 압력에 따른 n-/p-type In0.53Ga0.47As 상의 HfO2/Al2O3 게이트 유전체 특성"
    제23회 한국반도체학술대회, 정선

  • 백민, 조만호, 강유선, 강항규, 정광식, 김대경, 안영서, 김형섭, 송진동

       "Electrical properties of sub-1 nm EOT HfO2 grown on InAs by atomic layer deposition"

       한국 물리학회 / 2016 봄학술논문발표회 및 제92회 정기총회, 대전컨벤션센터 

  • 정재훈, 최윤호, 김대경, 조만호, 안영서, 김형섭, 권준영, 이관형

       "Controlled MoSe2 surface hydrophobicity using remote O2 plasma for integration of uniform high-k dielectrics"

       한국 물리학회 / 2016 봄학술논문발표회 및 제92회 정기총회, 대전컨벤션센터

  • Hang-Kyu Kang, Yu-Seon Kang, Dae-Kyoung Kim, Min Back, Youngseo An, J-D. Song, Hyoungsub Kim and Mann-Ho Cho

      "Al2O3 passivation effect in HfO2-Al2O3 laminate structures grown on InP substrates"

      Nanotech France 2016, Paris, France

  • JeongKeun Song, Sungho Choi, Youngseo An, Changmin Lee, Manh-Cuong Nguyen, D.S.Lee, D.S.Lee, Rino Choi, and Hyoungsub Kim

      "Effect of surface cleaning methods on the interface characteristics of an HfO2/Al2O3 gate stack on InP with different crystal orientations"

      11th Korea-Japan Conference on Ferroelectrics (KJC-FE11), Seoul, Korea

  • Manh Cuong Ngyen, Jeong-Keun Song, Sungho Choi, Jae-Won Choi, Soo-Yeun Han, An Hoang-Thuy Nguyen, Jung-Yeon Kim, Hyoungsub Kim, Rino Choi

       "Passivation of deep trap states in InP MOSCAPs characterized by isothermal deep level transient spectroscopy"

       20th International Vacuum Congress, Busan, Korea

  • Min Baik, Mann-Ho Cho, Hang-Kyu Kang, Kwang Sik Jeong, Dae-Kyoung Kim, Chang-min Lee, Hyoung-sub Kim, Jing-dong Song "Electrical properties and thermal stability in stack structure of HfO2/Al2O3/InSb by atomic layer deposition"

       Applied Nanotechnology and Nanoscience International Conference (ANNIC 2016), Barcelona, Spain

  • Taejin Park, H.J.Kim, M.Leem, W.S.Ahn, Seongheum Choi, Jin Bum Kim, Y.S.Hwang, S.J.Jeong, S.J.Park, Y.S.Kim, and Hyoungsub Kim

      "Atomic Layer Deposition of Al2O3 films on Various Two-Dimensional Materials"

       Pacific Rim Symposium on Surfaces, Coatings & Interfaces (PacSurf 2016), Hawaii, USA

  • Seongheum Choi, Jinyong Kim, Juyun Choi, Sungkil Cho, M.Lee, E.Ko, I.Rho, C.H.Kim, Dae-Hong Ko, Hyoungsub Kim

      "Comparative Study of the Ni-Silicide Films formed on Si and Strained Si:P"

       Pacific Rim Symposium on Surfaces, Coatings & Interfaces (PacSurf 2016), Hawaii, USA

  • Changmin Lee, Youngseo An, Sungho Choi, Jeongkeun Song, Young-Chul Byun, Jiyoung Kim, Hyoungsub Kim

      "Optimization of the ZnO Passivation Process on p-type In0.53Ga0.47As Using Atomic Layer Deposition"

       Pacific Rim Symposium on Surfaces, Coatings & Interfaces (PacSurf 2016), Hawaii, USA

  • Youngseo An, Changmin Lee, Sungho Choi, Jeongkeun Song, Young-Chul Byun, Jiyoung Kim, Hyoungsub Kim

      "Electrical Properties of the Atomic-Layer-Deposited Al2O3 on GaSb pretreated with TMA and TDMAT"

       Pacific Rim Symposium on Surfaces, Coatings & Interfaces (PacSurf 2016), Hawaii, USA

  • Sungho Choi, Youngseo An, Chanmin Lee, Jeongkeun Song, Manh Cuong Ngyen, Young-Chul Byun, Jiyoung Kim, Rino Choi, and Hyoungsub Kim

      "Electrical and Chemical Properties of HfO2/Al2O3 on In0.53Ga0.47As Annealed at Different H2 Pressures"

       Pacific Rim Symposium on Surfaces, Coatings & Interfaces (PacSurf 2016), Hawaii, USA

2015

  • Jaehyun Yang, Yeahyun Gu, Hyoungsub Kim
    "Environmental effect of solution-synthesized molybdenum disulphide thin film transistor with a thickness modulation"
    3rd SKKU Workshop on Materials Frontier Research, Suwon, Korea

     

  • Changmin Lee, Youngseo An, Sungho Choi, Hyoungsub Kim
    "Impact of ALD-ZnO Interface Passivation Process on HfO2/In0.53Ga0.47As"
    3rd SKKU Workshop on Materials Frontier Research, Suwon, Korea

     

  • Jaehyun Yang, H.Kwak, Hoo-Jeong Lee, Hyoungsub Kim
    "MoS2/a-IGZO Hetero-photodetector with Improved Optoelectrical Characteristics"
    ALD 2015, Portland, USA

     

  • Sungho Choi, Changmin Lee, Youngseo An,Cheol-Woong Yang, Hyoungsub Kim
    "Electrical characteristics of TiO2-capped HfO2 film on n-type InP"
    EuroCVD20, Sempach, Switzerland

     

  • Changmin Lee, Youngseo An, Sungho Choi, Hyoungsub Kim
    "Self-cleaning effect of half-cycle diethylzinc treatment on the electrical properties of HfO2/In0.53Ga0.47As"
    EuroCVD20, Sempach, Switzerland

2014

  • Seongheum Choi, Juyun Choi, Yihwan Kim, Hyoungsub Kim
    "Comparative Study of Er-silicide Formation on Si and Si1-xGex substrates"
    E-MRS 2014 fall meeting, Warsaw, Poland

     

  • Y. Gu, J. Yang, H. Kim, S. Jeong, S. Park, C. Lee, Y. Lee, M. Lee
    "Atomic layer deposition of HfO2 film on single-layered graphene with various surface treatments"
    E-MRS 2014 fall meeting, Warsaw, Poland

     

  • Y. An, S. Choi, C. Lee, and H. Kim
    "CONDUCTION MECHANISM OF TI-AL-O DIELECTRIC FILMS GROWN BY ATOMIC LAYER DEPOSITION ON P-TYPE GAAS"
    16th International Conference on Thin Films, Dubrovnik, Croatia

     

  • J. Yang, Y. Gu, C.-W. Yang, and H. Kim
    "LAYER THICKNESS CONTROLLABLE SYNTHESIS OF MOLYBDENUM DISULPHIDE THIN FILMS BY SPIN-COATING"
    16th International Conference on Thin Films, Dubrovnik, Croatia

     

  • YuSeon Kang, DaeKyoung Kim, HangKyu Kang, Mann-Ho Cho, SangWan Cho, Hyoungsub Kim
    "Defect states in ALD-HfO2 films on InP compound semiconductor as a function of film thickness"
    ECS Fall Meeting 2014, Cancun, Mexico

2013

  • Y.-C. Byun, C.-H. An, C. Mahata, H.Kim
    "Effect of In sity ALD-ZnO Interfacial Passivation Layer on the Electrical Properties of ALD-HfO2 on GaAs"
    2013 MRS spring meeting, San Francisco, USA

     

  • Jaehyun Yang, Sunkook Kim, Woong Choi, Sang Han Park, Mann-Ho Cho, and Hyoungsub Kim
    "Atomic-layer-deposition of Al2O3 and HfO2 Dielectric Films on Oxygen Plasma-treated Multilayered MoS2 Crystal"
    2013 MRS spring meeting, San Francisco, USA

     

  • Jungwoo Kim, Heeyeop Chae and Hyoungsub Kim
    "Solution-processed ZnO Nanocrystal Field-effect Transistor with Modified Inter-dot Characteristics"
    2013 MRS spring meeting, San Francisco, USA

     

  • Sekwon Na, Jun-gu Kang, Juyun Choi, Hyoungsub Kim, Hoo-Jeong Lee
    "A study on the low schottky barrier height of ytterbium germanide on the n-type Ge"
    TACT 2013, Taipei, Taiwan

     

  • Jun-gu Kang, Sekwon Na, Juyun Choi, Hyoungsub Kim, Hoo-Jeong Lee
    "Enhancemnet of thermal stability of ytterbium silicide by alloying with molybdenum"
    TACT 2013, Taipei, Taiwan

     

  • Y. An, Y. -C. Byun, S. Choi, H. Kim
    "Electrical Properties of Atomic-Layer-Deposited Al-doped TiO2 Films on p-type GaAs"
    AVS 60th International Symposium & Exhibition, California, USA

     

  • S. Choi, Y. -C. Byun, Y. An, H. Kim
    "Effect of Deposition Temperature on the Electrical Properties of ALD-HfO2 Film on GaAs"
    AVS 60th International Symposium & Exhibition, California, USA

2012

  • Juyun Choi, Sekwon Na, Hoo-Jeong Lee, Seok-Hee Lee, Hyoungsub Kim
    "Capping layer-dependent defect formation during Er-silicidation process" AMF-8, Pattaya, Thailand

     

  • C. Mahata, Y.-C. Byun, and H. Kim
    "Atomic-layer-deposited Al-doped HfO2 film on In0.53Ga0.47As" IUMRS-ICYRAM 2012, Singapore

     

  • C.-H. An, Y.-C. Byun, M. S. Lee, C.-W. Yang and H. Kim.
    "Atomic Layer Deposition Temperature Dependence of HfO2 Film on InP" ISIF 2012. Hongkong, China

     

  • Y.-C. Byun, C.-H. An, M. S. Lee, C. Mahata. and H. Kim.
    "Electrical properties of ALD-HfAlO Film on GaAs with different starting layers" ISIF 2012. Hongkong, China

     

  • Jihoon Lee, Hyun Ae Lee, Cheol-Woong Yang, Hyoung Jin Cho, Hyoungsub Kim
    "Organic to inorganic conversion process of PDMS" TMS 2012. Orlando, USA

     

  • Sang Han Park, Hyo Jin Kim, Dae-Kyong Kim, Mann-Ho Cho, Chung Yi Kim, Hyoungsub Kim
    "The chemical analysis about post annealing effect of HfO2 on Si-passivated GaAs " The American Physical Society, Boston, USA

     

  • Jaehyun Yang, Chee-Hong An, Young-Chul Byun, Jihoon Lee, Hyoungsub Kim
    "원자층 증착법을 이용한 차세대 트랜지스터용 유전층 및 활성층 박막 연구" 한국반도체 디스플레이기술학회 2012년 춘계학술대회 및 제2회 반디제주포럼, 제주, 한국

     

  • Joong Keun Park, Jaehyun Yang, Hyoungsub Kim
    "Performance of ZnO thin film transistor with a double-layered channel structure", 한국재료학회 춘계학술 발표대회 및 제 22회 신소재 심포지엄, 무주, 한국

     

  • Juyun Choi, Sekwon Na, Joong Keun Park, Hoo-Jeong Lee, Seok-Hee Lee, and Hyoungsub Kim
    "Phase Transistion of Yb-Doped Er-Silicide for NMOS Application" IUMRS-ICA 2012, Busan, Korea

     

  • Sung Ho Choi, Myung Soo Lee, and Hyoungsub Kim
    "Resistive Switching Characteristics of Er-Doped ZrO2 Thin Film" IUMRS-ICA 2012, Busan, Korea

     

  • Chee-Hong An, Young-Chul Byun, Myung Soo Lee, Jaehyun Yang, Jungwoo Kim, and Hyoungsub Kim
    "Electrical Propertied of ALD-Grown HfAlOx Films on InP" IUMRS-ICA 2012, Busan, Korea

2011

  • Myung Soo Lee and Hyoungsub Kim, "Dielectric properties of the sol-gel-deposited ultra-thin Gd2O3 films with different sintering temperatures"
    ICAE 2011. Jeju, Korea

     

  • Jaehyun Yang, Sunkook Kim, Woong Choi, Sangyoon Lee and Hyoungsub Kim,
    "Electrical properties of ALD-ZnO thin film transistor with various gate dielectric layers" ISSS-6 2011. Tokyo, Japan

     

  • M. S. Lee, C.-H. An, Y.-C. Byun, K. Park, and H. Kim,
    "Resistive switching characteristics of ALD-HfO2 films annealed in oxygen and UV-irradiated oxygen ambient" ISIF 2011. Cambridge, England

     

  • Myung Soo Lee, Ju-Yun Choi, Chee-Hong An, Bongyoung Yoo, and Hyoungsub Kim
    "Dielectric properties of solution-deposited Gd2O3 thin films with different Ce content" E-MRS 2011 fall meeting. Warsaw, Poland,

     

  • C-H. An, Y-C. Byun, M.S. Lee, H. Kim,
    "Effects of Post-Deposition Annealing Temperature on the Electrical Properties of ALD-Grown Al2O3 and HfO2 on InP"
    AVS 11th International Conference on Atomic Layer Deposition 2011, Boston, USA

     

  • Y-C. Byun, C-H. An, J.Y. Choi, H. Kim,
    "Electrical Properties of ALD-HfO2 on GaAs Pre-treated with Trimethylaluminium" AVS 11th International Conference on Atomic Layer Deposition 2011, Boston, USA

     

  • Juyun Choi, Chee-Hong An, Myung-Soo Lee, Chang-Hee Han, Byung-Chul Cho, Hyoungsub Kim,
    "Effect of annealing ambient on the electrical properties of ALD-SrTiO3 films on Si substrates"
    The 15th International Symposium on the Physics of Semiconductors and Applications, Jeju, Korea

     

  • Chee-Hong An, Young-Chul Byun, Myung Soo Lee, Ju Yun Choi, Hyoungsub Kim,
    "Electrical properties of ALD-Al2O3 and HfO2 films on HF and (NH4)2S cleaned InP substrates"
    The 15th International Symposium on the Physics of Semiconductors and Applications, Jeju, Korea

     

  • Young-Chul Byun, Chee-hong An, Juyun Choi, Hyoungsub Kim,
    "Electrical properties of H2O and O3-based ALD-HfO2 films" The 15th International Symposium on the Physics of Semiconductors and Applications, Jeju, Korea

2010

  • J. Yang, M. S. Lee, J. L. Song, Y.-C. Byun, H. J. Lee and H. Kim,
    "Electrical characteristics of IGZO/ZnO hybrid nanowire network field-effect transisto", MRS Fall meeting 2010, Boston, USA

     

  • Young-Chul Byun, Chee-Hong An, Ju-Yun Choi, Jaehyun Yang and Hyoungsub Kim
    "Optimization of (NH4)2S Cleaning Time for Plasma Enhanced Atomic Layer Deposition of HfO2 on GaAs ", MRS Fall meeting 2010, Boston, USA

     

  • Hyun Ae Lee, Young-Chul Byun, Umesh Singh, Hyoung J. Cho and Hyoungsub Kim,
    "Surface Modification of Carbon Post Arrays by ALD of ZnO Thin films", ICNST 2010, Gwangju, Korea

     

  • Mi Ran Moon, Sekwon Na, Haseok Jeon, Chee-Hong An, Donggeun Jung, Hyoungsub Kim, and Hoo-Jeong Lee
    "Effect of processing conditions and post-annealing on the microstructure of amorphous IGZO TFTs",The 27th International Korea-Japan Seminar on Ceramics, Incheon, Korea

     

  • Jungwoo Kim, Nam Hun Kim, Hyoungsub Kim, Donggeun Jung, and Heeyeop Chae ,
    "Plasma and Thermal Heat Treatment Effect of ITO Surface on Organic Solar Cells", Nano Korea Symposium, Ilsan, Korea

     

  • Jung Woo Kim, Nam Hun Kim, Hyoungsub Kim, Donggeun Jung, and HeeyeopChae,
    "Characterization of ITO and ZnO Surface Modification in Organic Solar Cells", ICSM 2010, Kyoto, Japan

     

  • Kyung Park, Ju-Yun Choi, Jang-Yeon Kwon, Hoo-Jeong Lee, Hyoungsub Kim,
    "Thin film transistors using transparent amorphous-IGZO films as channel and source/drain electrodes", APCPST 2010, Jeju, Korea

     

  • Mi Ran Moon, Sekwon Na, Haseok Jeon, Chee-Hong An, Donggeun Jung, Hyoungsub Kim, and Hoo-Jeong Lee,
    "Effect of processing conditions and post-annealing on the microstructure of amorphous IGZO TFTs", EM-NANO 2010, Toyama, Japan

     

  • M. S. Lee, C. –H. An, K. Park, J. H. Yang, and H. Kim,
    "Dielectric properties of the sol-gel deposited ZrO2 films with different sintering temperatures", AMF-AMEC-2010, Jeju, Korea

     

  • C.-H. An, M. S. Lee, J.-Y. Choi, Y. –C. Byun, and H. Kim,
    "Effects of La doping concentration and post-deposition annealing temperature on the electrical properties of ALD-grown HfLaOx films", AMF-AMEC-2010, Jeju, Korea

     

  • J. W. Ma, W. J. Lee, M.-H. Cho, K. B. Chung, C. -H. An, H. Kim, and H. J. Cho,
    "Correlation between electronic structure and band alignment of LaxAlyO as a function of post deposition annealing temperature", ALD 2010, Seoul, Korea

     

  • C. Y. Kim, Y. S. Kang, M. -H. Cho, K. B. Chung, D. -H. Ko, H. Kim, H. J. Lee,
    "The investigation on electronic structures of atomic-layer-deposited HfO2 film on n-GaAs (100) substrate", ALD 2010, Seoul, Korea

     

  • MyungSoo Lee, Chee-Hong An An, Kyung Park, Ju-yun Choi and Hyoungsub Kim,
    "Sol-gel Deposited ZrO2 Films Doped with Rare Earth Elements for Printed Electronic Device Applications", 2010 MRS Spring Meeting, Boston, USA

     

  • 양재현, 이명수, 박 경, 이후정, 김형섭, "Density control of ZnO nanowires using the microstructure of ZnO seed layer ", 2010년도 대한금속재료학회, 횡성

2009

  • W. J. Lee, J. H. Baeck, J. W. Ma, C. Y. Kim, M.-H Cho, D. C. Seo, D.-H. Ko, H. Kim, H. J. Lee,
    "Changes in physical properties of Zr-incorporated Gd2O3 films grown on various substrate types (SiGe, Ge, Si)", E-MRS, Strasbourg, France

     

  • Mi Ran Moon, Eun Kyoung Nam, Chee-Hong An, Sekwon Na, Haseok Jeon, Donggeun Jung, Hyoungsub Kim, Hoo-Jeong Lee,
    "Electrical Properties of ZnO-based Thin Film Transistor with Post-deposition Annealing Temperature", ICMAP 2009, Busan, Korea

     

  • Kyung Park, Chee-Hong An, Jae Hyun Yang, Dong Sik Oh, Kyungseok Son, Jang-Yeon Kwon, Sangyun Lee, Hoo-Jeong Lee, Hyoungsub Kim,
    "Electrical properties of a-IGZO thin film transistor: effect of the oxygen partial pressure and the post-deposition annealing temperature", ICMAP 2009, Busan, Korea

     

  • Jung Woo Kim, Jiyeon Lee, Hyoungsub Kim, Donggeun Jung, Heeyeop Chae ,
    "The Effects of Plasma Surface treatment and Thermal Treatment of ITO on the Efficiency of Polymer Solar Cells", ICMAP 2009, Busan, Korea

     

  • SUNGWOO LEE,SEUNGCHAE CHOI, HOONBAE KIM, JAEYOUNG YANG, DONGGEUN JUNG, SANGJIN JO, HYOUNGSUB KIM, HEEYEOP CHAE,
    "Characterization of etched ultralow-k SiCOH films as a variation gas chemistries", ICMAP 2009, Busan, Korea

     

  • Y. G. Choi, Y. Te. Cho, S. C. Park, Y. E. Lee, C.-H. Kim, C.-H. An, and H. Kim,
    "Nano-scale Ink Particles for Electronphoretic Display with High Optical Density", IMID2009, KINTEX, Korea

     

  • Eun kyoung Nam, Mi Ran Moon, Dong-jin Son, Keunhee Park, Donggeun Jung, and Hyoungsub Kim,
    "Pyromellitic danhydride as a cathode interfacial layer in the organic light emitting diodes:thickness optimization and its electroluminescent ", IMID2009, KINTEX, Korea

     

  • Jiyoen Lee, Jungwoo Kim, Hyoungsub Kim, Sung Min Cho, and Heeyeop Chae,
    "Effect of Thermal Annealing of Gravure Printed Polymer Solar Cells", IMID2009, KINTEX, Korea

     

  • Jiyeon Lee, Junwoo Kim, Hyungsub Kim, Sung Min Cho, and Heeyeop Chae,
    "Effects of Thermal Annealing on Gravure Printed Organic Layer for Polymer Solar Cells", PVSEC19, Jeju, Korea

     

  • Chung Yi Kim, Sun Young Lee, Mann-Ho Cho, Kwun-Bum Chung, Dae Hong Ko, Hyoungsub Kim and Hoojung Lee,
    "Study on Electronic and Crystal Structures in an Atomic-layer-deposited HfO2 Film on a GaAs (100) Substra as a Function of Post-annealing ", MRS, Boston, USA

     

  • Jun Hyuk Choi, Jong Hyun Shim, Soo Min Hwang, Jinho Joo, Jun Hyung Lim, Kyung Park, Hyoungsub Kim, Hoo-Jeong Lee, Mi Ran Moon, and Donggeun Jung,
    "Effect of sintering time at low temperature on properties of IGZO TFT fabricated by sol-gel process", ICAMD 2009, Jeju, Korea

     

  • Jong Hyun Shim, Jun Hyuk Choi, Kyung Park, Chang Min Lee, Jun Hyung Lim, Jinho Joo, Hyoungsub Kim, Hoo-Jeong Lee, Mi Ran Moon, and Donggeun Jung,
    "Fabrication and comparison of properties of SnInZnO and InZnO TFTs processed by sol-gel method", ICAMD 2009, Jeju, Korea

2008

  • Byung Il Hwang, Kyung Park, Chee-Hong An, Hyoungsub Kim, Hoo Jeong Lee,
    "The Effects of Post-annealing Temperatures on Electrical Characteristics of ZnO TFTs", INTERFINISH 2008, Busan, Korea

     

  • Kyung Park, Chee-Hong An, Myung Soo Lee, Youngchol Byun, Hoo-jung, Hyoungsub Kim,
    "Thermal stabilities and electrical characteristics of various metal-germanide Schottky Contacts on Ge Substrate", INTERFINISH 2008, Busan, Korea

     

  • Myung Soo Lee, Chee Hong An, Kyung Park, Hyoungub Kim,
    "Tunable Workfunctions of Ni/Hf Bi-layered Metal Electrodes on HfO2", INTERFINISH 2008, Busan, Korea

     

  • C. Y. Kim, C. J. Yim, M. -H. Cho, Y. Yi, H. Kim,
    "Differences of international characteristics between HfO2/GaAs (100) substrate", ALD 2008, Bruges, Belgium

     

  • J. W. Kim, C. H. Pang, K. H. Park, H. Kim, H. Y. Chae,
    "Efficiency enhancement of polymer solar cells by plasma treatment on ITO surface", ICMAP 2008, Jeju, Korea

     

  • Sung Woo Lee, Ji Hyung Woo, Dong Geun Jung, Jae Young Yang, Jin-Hyo Boo, Hyoung Sub Kim, Hee Yeop Chae,
    "Surface analysis of Low-k SiCOH etched film in fluorocarbon inductively coupled plasmas", ICMAP 2008, Jeju, Korea

     

  • Eun Kyoung Nam, Mi Ran Moon, Hyung Jun Park, Keun Hee Park, Sun Young Sohn, Dong Geun Jung, Junsin Yi, Hee Yeop Chae, Hyoung Sub Kim, "Electroluminescence and impedance analysis of organic light emitting diodes using anhydride materials as cathode interfacial layers", ICMAP 2008, Jeju, Korea
     

  • Mi Ran Moon, Eun Kyoung Nam, Ji Hyoung Woo, Sungwoo Lee, Kyung Park, Dong Geun Jung, Hyoung Sub Kim, Hoo-Jeong Lee,
    "Effects of surface treatments using PECVD-grown hexamethyldisiloxane on the performance of organic thin-film transistor", ICMAP 2008, Jeju, Korea

     

  • K. Park, B.-I. Hwang, C.-H An, H. Lee, H. Kim,
    "Electrical characteristics of a-IGZO thin film transistors as a function of RF power and post-deposition annealing temperatures", ISPSA-2008, Jeju, Korea

     

  • M. S. Lee, C.-H. An, K. Park, H. Kim,
    "Comparison of Ni/Hf and Ni/Ti metal electrodes for high-k gate stacks", ISPSA-2008, Jeju, Korea

     

  • Jungwoo Kim, Changhyun Pang, Hyoungsub Kim, Donggeun Jung, and Heeyeop Chae,
    "The effects of plasma surface treatment of ITO on efficiencies of polymer solar cells", GJ-NST 2008, Gwangju, Korea

     

  • Jiyeon Lee, Jinha Hwang, Jungwoo Kim, Hyoungsub Kim, Donggeun Jung, Heeyeop Chae,
    "Effects of plasma treatment for gravure printed organic layer on flexible polymer solar cells", GJ-NST 2008, Gwangju, Korea

2007

  • K. Park, H. Kim, S. Sohn, D. Jung, M-.H. Cho, W. J. Maeng, H. Kim, T. S. Kim, J.-S. Hahn, and S. Lee,
    "Work function engineering of ITO using ultra-thin metal oxide layers", The 5th International Symposium on Advanced Plasma Processes and Diagnostics & The 1st Internaional Symposium on Flexible Electronics Technology, Sungkyunkwan Univ., Korea

     

  • S. Lee, J. Yang, C. Choi, S. Do, H. Chae, D. Jung, J.-H. Boo, and H. Kim,
    "Effect of He, Ar, O2 plasma treatments on the electrical and chemical properties low-k SiCOH film deposited by PECVD", MRS spring Meeting, San Francisco, USA

     

  • K. Park, C. H. Ahn, M. S. Lee, E. J. Jung, D.-H. Ko, and H. Kim,
    "Formation and comparison of various metal-silicide and -germanide films for high performance transister applications", Sixth Asian-European International Conference on Plasma Surface Engineering (AEPSE2007), Nagoya, Japan

     

  • M. S. Lee, Y. C. Byun, K. Park, C.-H. An, S. Y. Whang, and H. Kim,
    "Workfunction engineering of Hf-silicate and HfO2 films using Ni/Ti and Pt/Ti bi-layerd metal electrodes", 212th Meeting of The Electrochemical Society, Washington, D.C,

     

  • K. Park, C. H. Ahn, M. S. Lee, E. J. Jung, D.-H. Ko, and H. Kim,
    "Thermal stabilities and electrical characteristics of various metal-germanide films", 212th Meeting of The Electrochemical Society, Washington, D.C,

     

  • J. W. Lee, J. H. Bae, M. H. Park, H. B. Kang, H. Kim, and C. W. Yang,
    "Microstructural evolution of nickel germanides in the Ni1-x, Tax/Ge systems during in-situ annealing ", AVS 54th International Symposium & Exhibition, Seatle, Washington, USA

     

  • J.-W. Lee, J.-H. Bae, K.-W. Song, M.-H. Park, H.-B. Kang, H. Kim, and C.-W. Yang,
    "Dynamic study on microstructural evolution of nickel germanide in the Ni1-xTax/Ge systems", ICAMD 2007, Jeju, Korea

2006

  • R. Sreenivasan, H. Kim, K. Saraswat, and P. McIntyre,
    "Effect of impurities on the fixed charge of nanoscale HfO2 films grown by atomic layer deposition ", MRS Spring Meeting, San Francisco, USA

     

  • D. G. Jung, S. Y. Sohn, K. H. Park, H. Kim, H. M. Kim, and J. S. Yi,
    "Performance of organic light emitting diodes with HfOx covered indium tin oxide by ALD process", The 3rd Korea-China Workshop on Nanotubes and Nanowires, Sungkyunkwan Univ., Korea

     

  • S. Sohn, K. Park, D. Jung, and H. Kim,
    "Effects of HfOx treated indium tin oxide on organic emitting diodes", IMID/IDMC 2006, Daegu, Korea

     

  • K. Park, D. W. Lee, D.-H. Ko, K. H. Kwak, C. W. Yang, and H. Kim,
    "Formation of Ni-germanide for high performance transistor applications", IUVSTA Special Symposium & ECM-100 Highlight Seminar, Seoul, Korea

     

  • K. Park, B.-H. Lee, D. W. Lee, D.-H. Ko, K. H. Kwak, C.-W. Yang, and H. Kim,
    "Improvement of thermal stabilities of Ni-germanide films using Ta doping", The 6th Korea-Japan Symposium on Plasma and Thin Film Technology, Busan, Korea

     

  • S. Sohn, G. Kim, K. Park, E. Nam, D. Jung, S. Jang, H. Chae, H. Kim, M.-H. Kim, and Y.-U. Kwon,
    "Efficiency enhancement of polymer solar cells with plasma treatment of iTO surface", PROCEEDINGS OF INTERNATIONAL SYMPOSIUM ON DRY PROCESS(DPS2006), Nagoya, Japan

-

bottom of page